matlab给定点求指数函数,matlab指数函数如何写

首页 > 数码 > 作者:YD1662024-04-21 14:03:35

我们用MATLAB毫不费力地验证一下公式正确性:

matlab给定点求指数函数,matlab指数函数如何写(5)

在设计后也同样要借助MATLAB进行仿真验证。

2. CORDIC IP核

  现在通过查看user guide得知CORDIC IP核的接口及主要特性。

matlab给定点求指数函数,matlab指数函数如何写(6)

 接口包括输入笛卡尔数据输入通道、相位输入通道、全局信号以及数据输出通道。该IP核有两种结构:串行和并行,可根据数据吞吐量需求选择,并行结构可以每个时钟输出一个计算结果。如果计算sinh和cosh,要向phase通道输入相位信息,X_OUT是cosh(phase),Y_OUT是sinh(phase).输入phase必须满足数据范围,否则出现不可预计结果。输出帧结构及数据范围如下:

matlab给定点求指数函数,matlab指数函数如何写(7)

 其中输入数据格式为2QN,输出则是1QN。由于均是有符号数,也就是输入整数部分3bit,输出整数部分2bit。接下来对IP核进行配置,重点是第一页,此处将其配置为计算sinh和cosh模式,采用并行优化的流水线结构。相位以角度为单位,输入输出位宽设置成16bit。

matlab给定点求指数函数,matlab指数函数如何写(8)

上一页123下一页

栏目热文

文档排行

本站推荐

Copyright © 2018 - 2021 www.yd166.com., All Rights Reserved.